post_parent): $temp_content = $post->post_content; $temp_content = explode("

",$temp_content); $temp_content = $temp_content[1]; $temp_content = explode("

",$temp_content); $temp_content = $temp_content[0]; $temp_content = strip_tags($temp_content); $temp_content = trim($temp_content); $authors = explode(",",$temp_content); ?> post_title));?>"> $value): ?> "> "> "> post_content); while ($parser->parse()) { if (($parser->iNodeName=="a")&&(substr_count($parser->iNodeAttributes['href'],".pdf")>0)): ?>

Implementation of square root in FPGA

Sergiyenko A.M., Sergiyenko P.A.

A modified square root algorithm is proposed. In the algorithm the first iterations are calculated by the table functions, that minimizes both hardware volume and speed of calculations. The algorithm is implemented in the parametrized Web application, which generates the VHDL entities for FPGA projects.


Full text (pdf)