post_parent): $temp_content = $post->post_content; $temp_content = explode("

",$temp_content); $temp_content = $temp_content[1]; $temp_content = explode("

",$temp_content); $temp_content = $temp_content[0]; $temp_content = strip_tags($temp_content); $temp_content = trim($temp_content); $authors = explode(",",$temp_content); ?> post_title));?>"> $value): ?> "> "> "> post_content); while ($parser->parse()) { if (($parser->iNodeName=="a")&&(substr_count($parser->iNodeAttributes['href'],".pdf")>0)): ?>

Реализация функции квадратного корня в ПЛИС

Сергиенко А.М., Сергиенко П. А.

В статье предложен модифицированный алгоритм извлечения квадратного корня основанный на том, что его первые итерации вычисляются табличным способом, за счет чего уменьшаются аппаратные затраты и уменьшается время вычислений. Алгоритм реализован в Web-приложении, которое генерирует VHDL-модели блоков с заданными параметрами, реализуемые в ПЛИС.


Загрузить (pdf)