post_parent): $temp_content = $post->post_content; $temp_content = explode("

",$temp_content); $temp_content = $temp_content[1]; $temp_content = explode("

",$temp_content); $temp_content = $temp_content[0]; $temp_content = strip_tags($temp_content); $temp_content = trim($temp_content); $authors = explode(",",$temp_content); ?> post_title));?>"> $value): ?> "> "> "> post_content); while ($parser->parse()) { if (($parser->iNodeName=="a")&&(substr_count($parser->iNodeAttributes['href'],".pdf")>0)): ?>

Реалізація функції квадратного кореня у ПЛІС

Сергієнко А.М., Сергієнко П.А.

У статті запропоновано модифікований алгоритм добування квадратного кореня, у основу якого покладено те, що його перші ітерації обчислюються табличним способом, за рахунок чого зменшуються апаратні витрати та тривалість обчислень. Алгоритм реалізований в Інтернет-додатку, який генерує VHDL-моделі кореня із заданими параметрами, які реалізуються у ПЛІС.


Завантажити (pdf)